Quantcast
Channel: Cadence Digital Implementation Blogs
Viewing all articles
Browse latest Browse all 335

RTL-to-GDSII Flow: I Am Not a Tool but Can Help You Implement Your Entire Design!

$
0
0

Passion motivates and helps you pursue it further, but gaining expertise requires time and effort. For example, photography is a popular hobby because anyone can take a picture. But to gain expertise requires time and effort to experiment with various techniques to improve your skills.                                                                                                                                                                                                                                                                      

                                                                                                                                                                                                                                                                             

          

Likewise, given an innovative design idea, it takes an efficient methodology and implementation flow to generate it to a GDSII. To achieve this, we use RTL-to-GDSII flow, also known as Digital flow or ASIC flow, which includes many stages in designing an IC in the semiconductor industry. Starting from RTL coding, simulating the RTL, synthesizing and testing, implementing the logic as a physical layout, and finally generating a GDSII file after timing signoff, each stage in the flow has unique techniques and complexities. 

Don’t get overwhelmed with terminology. Get hooked on the world of Cadence_RTL-to-GDSII_Flow 4_0 and start exploring the ABCs of the design flow process.

This course teaches how to implement a design idea from RTL-to-GDSII flow using Cadence® tools. You will learn how to deal with these challenges while running the flow, such as resolving errors in the log file, debugging the timing violations, and fixing setup and hold violations. After completing the lecture, you can download a lab database and manual to test your knowledge at every stage.

After completing this course, you will be able to:

  • Code a design in Verilog as per the design specification provided
  • Compile, elaborate, and simulate your design
  • Synthesize your design
  • Design for test
  • Run equivalency checking at different stages of the flow
  • Floorplan a design
  • Run placement, optimization, clock tree synthesis, and routing on your design
  • Run signoff checks to ensure that can able to fabricate a chip.
  • Write out a GDSII file.

                                                                                                                         

Steps to follow to get enrolled in this course:

  1. Log on to support.cadence.com with your registered Cadence ID and password.
  2. Select Learning from the menu > click Online Courses.
  3. Search for Cadence_RTL-to-GDSII_Flow 4_0 using the search bar.
  4. Select the course and click the Enroll button.

 

Digital Badge Available

The course also has an associated exam to certify your knowledge of RTL-to-GDSII flow and display a digital badge on your Linkedin profile – Cadence RTL-to-GDSII v4.0 (Badge Exam)

 

 Related Resources

Online courses

Blogs

Training Bytes

 For more information on Cadence’s digital design and signoff products and services, visit www.cadence.com.

Happy learning! Thank You.


Viewing all articles
Browse latest Browse all 335

Trending Articles